# check that the sim doesn't die immediately. # mach: microblaze # status: 1 # output: fail\n .include "testutils.inc" start fail