PACKAGE NAME: verilog-0.9.5-i486-72.1.txz PACKAGE LOCATION: ./extra/ap PACKAGE SIZE (compressed): 1038 K PACKAGE SIZE (uncompressed): 4152 K PACKAGE REQUIRED: bzip2,gcc,gcc-g++,libtermcap,readline,zlib PACKAGE CONFLICTS: PACKAGE SUGGESTS: PACKAGE LIBS: PACKAGE DESCRIPTION: verilog: verilog (A Verilog simulation and synthesis tool) verilog: verilog: Icarus Verilog is a Verilog simulation and synthesis tool. It operates verilog: as a compiler, compiling source code writen in Verilog (IEEE-1364) verilog: into some target format. For batch simulation, the compiler can verilog: generate an intermediate form called vvp assembly. verilog: verilog: verilog: verilog: verilog: